Samsung hoppar över tillverkning på 4 nanometer

Permalänk
Melding Plague

Samsung hoppar över tillverkning på 4 nanometer

Den koreanska teknikgiganten sägs hoppa direkt från 5 till 3 nanometer, utan att mellanlanda på 4 nanometer.

Läs hela artikeln här

Visa signatur

Observera att samma trivselregler gäller i kommentarstrådarna som i övriga forumet och att brott mot dessa kan leda till avstängning. Kontakta redaktionen om du vill uppmärksamma fel i artikeln eller framföra andra synpunkter.

Permalänk
Medlem

Det är stora doningar vi pratar här

Visa signatur

Dell S2721DGFA ■ 5800X3D (Noctua NH-U12P) ■ RTX 3070 AORUS Master ■ 16x2 3200 CL16 ■ MSI B450M Mortar Max ■ Samsung EVO 970 1 TB ■ Fractal Design North + Switch OLED

Old: Pentium 4 -> Core 2 Duo E6750 -> i7 2600k -> R7 3700X

Permalänk
Föredetting
Skrivet av KorvMos:

Det är stora doningar vi pratar här

Det är bara företag med små transistorer som hävdar att storleken inte spelar någon roll, eller hur var det nu?

Visa signatur

Internet of Things. Translation: Anything that connects to the internet, no matter how useless nor how much of a security risk it poses.

Permalänk
Medlem

Undrar om det är lönt med lite aktier i TSMC

Permalänk
Medlem

De har hittat det nya skjutmåttet.

Permalänk
Medlem

Det finns väl ändå ingenting i det hela som faktiskt är 5nm långt eller 3nm långt, så de kan ju kalla sin process vad de vill utan att det gör någon skillnad.

Permalänk
Avstängd

Vore roligt med en genomgång av de faktiska måtten respektive tillverkare använder.

Visa signatur

There is more stupidity than hydrogen in the universe, and it has a longer shelf life. /Frank Zappa

Permalänk
Medlem

vore mer intressant med antal transistorer per yta tex 1mm i kvadrat eller cm/tum eller nåt nu e det mest bara nm i reklamsyfte

Visa signatur

Arne Berg

Permalänk
Medlem

Det är väl samma som att Nvidia skippade 300 serien eller 8000 serien? Flyttar marketing ett steg för att ’ligga före’...

Permalänk
Medlem
Skrivet av Erik_T:

Det finns väl ändå ingenting i det hela som faktiskt är 5nm långt eller 3nm långt, så de kan ju kalla sin process vad de vill utan att det gör någon skillnad.

Måttet anger transistorgrindarnas längd så det är inte helt påhittat men håller med att det inte säger hela sanningen ...

Visa signatur

Phanteks P400s | Asus B-350 Strix |Ryzen 1600X @4 Ghz
G.skill 16GB 3.6Ghz CL16 Trident Z RGB | FD Celsius S36
Samsung 960EVO 500GB |Corsair RM750x | Asus Vega 56 Strix

Permalänk
Medlem

@Bulan75: En gång i tiden så var det så ja. Men idag så har *nm inget att göra med längden på transitor gate eller liknande, utan det är bara ett namn på en tillverkares tillverkningsprocess.
Exempelvis så är Intels "10nm" jämförbar med tsmc och samsung "7nm" process.
https://www.pcgamesn.com/amd/tsmc-7nm-5nm-and-3nm-are-just-nu...
https://en.wikipedia.org/wiki/7_nm_process
https://en.wikipedia.org/wiki/5_nm_process

Permalänk
Medlem
Skrivet av Bulan75:

Måttet anger transistorgrindarnas längd så det är inte helt påhittat men håller med att det inte säger hela sanningen ...

Det har inte stämt sedan 32/22nm någonstans

Grindarna har ökat i storlek sedan dess!

Permalänk
Medlem
Skrivet av nikwad:

@Bulan75: En gång i tiden så var det så ja. Men idag så har *nm inget att göra med längden på transitor gate eller liknande, utan det är bara ett namn på en tillverkares tillverkningsprocess.
Exempelvis så är Intels "10nm" jämförbar med tsmc och samsung "7nm" process.
https://www.pcgamesn.com/amd/tsmc-7nm-5nm-and-3nm-are-just-nu...
https://en.wikipedia.org/wiki/7_nm_process
https://en.wikipedia.org/wiki/5_nm_process

Samma designregler har alltid gällt då dom måste följa fysikens lagar, så att säga En gång i tiden så var det så ja. är bara nonsens utan verklighetsförankring.
En def på nod är en def av minsta möjliga struktur, om det är en gate längd eller bredd, eller kanske en ledningsbredd, so be it...
Man kan lite löst säga att en nod på 7 nm eller 5 nm till viss del anger förhållandet mellan dessa, men endast om exakt samma teknik/material/struktur används.
Ändras ett material så att dielektricitet som ex. förändras så måste även strukturen (storlek/yta) ändras för att kompensera.

För en viss förståelse så är här en rätt lätt förklaring given.

Missa inte i slutet:
Feature size
The physical size of the features that can be created in a design, often used as a rough synonym for process node.

Var troligen orsaken till Intels problem med 10 nm, då dom försökte att skala ner strukturen kraftigare än vad som var möjligt på deras 10 nm process.
Av vad jag förstått så har Intel lättat på målet och skalat upp en del...

Visa signatur

Engineer who prefer thinking out of the box and isn't fishing likes, fishing likes is like fishing proudness for those without ;-)
If U don't like it, bite the dust :D
--
I can Explain it to you, but I can't Understand it for you!

Permalänk
Medlem
Skrivet av Bengt-Arne:

Samma designregler har alltid gällt då dom måste följa fysikens lagar, så att säga En gång i tiden så var det så ja. är bara nonsens utan verklighetsförankring.

Designreglerna må vara de samma, men namngivningsregler kan ändras och behöver inte följa fysikens lagar.

För att citera Wikipedia:
"Since 2009, "node" has become a commercial name for marketing purposes that indicates new generations of process technologies, without any relation to gate length, metal pitch or gate pitch.[12][13][14] For example, GlobalFoundries' 7 nm process is similar to Intel's 10 nm process, thus the conventional notion of a process node has become blurred.[15] Additionally, TSMC and Samsung's 10 nm processes are only slightly denser than Intel's 14 nm in transistor density. They are actually much closer to Intel's 14 nm process than they are to Intel's 10 nm process (e.g. Samsung's 10 nm processes' fin pitch is the exact same as that of Intel's 14 nm process: 42 nm)"

Permalänk
Medlem
Skrivet av Bengt-Arne:

Samma designregler har alltid gällt då dom måste följa fysikens lagar, så att säga En gång i tiden så var det så ja. är bara nonsens utan verklighetsförankring.
En def på nod är en def av minsta möjliga struktur, om det är en gate längd eller bredd, eller kanske en ledningsbredd, so be it...
Man kan lite löst säga att en nod på 7 nm eller 5 nm till viss del anger förhållandet mellan dessa, men endast om exakt samma teknik/material/struktur används.
Ändras ett material så att dielektricitet som ex. förändras så måste även strukturen (storlek/yta) ändras för att kompensera.

För en viss förståelse så är här en rätt lätt förklaring given.

Missa inte i slutet:
Feature size
The physical size of the features that can be created in a design, often used as a rough synonym for process node.

Var troligen orsaken till Intels problem med 10 nm, då dom försökte att skala ner strukturen kraftigare än vad som var möjligt på deras 10 nm process.
Av vad jag förstått så har Intel lättat på målet och skalat upp en del...

https://en.wikichip.org/wiki/technology_node

Finns inget som är så litet som 5/7/10nm och inget som stämmer med det du säger (längre)

Innan fin-fet och andra moderna saker stämde det dock jättebra, med det gick över för flera år sedan

Fritt saxat en bit av det relevanta:

Meaning lost[edit]
At the 45 nm process, Intel reached a gate length of 25 nm on a traditional planar transistor. At that node the gate length scaling effectively stalled; any further scaling to the gate length would produce less desirable results. Following the 32 nm process node, while other aspects of the transistor shrunk, the gate length was actually increased.
With the introduction of FinFET by Intel in their 22 nm process, the transistor density continued to increase all while the gate length remained more or less a constant. This is due to the properties of FinFET; for example the effective channel length is a function of the new fins (Weff = 2 * Hfin + Wfin). Due to how the transistor changed dramatically from how it used to be, the current naming scheme lost any meaning

Permalänk
Medlem
Skrivet av Erik_T:

Designreglerna må vara de samma, men namngivningsregler kan ändras och behöver inte följa fysikens lagar.

För att citera Wikipedia:
"Since 2009, "node" has become a commercial name for marketing purposes that indicates new generations of process technologies, without any relation to gate length, metal pitch or gate pitch.[12][13][14] For example, GlobalFoundries' 7 nm process is similar to Intel's 10 nm process, thus the conventional notion of a process node has become blurred.[15] Additionally, TSMC and Samsung's 10 nm processes are only slightly denser than Intel's 14 nm in transistor density. They are actually much closer to Intel's 14 nm process than they are to Intel's 10 nm process (e.g. Samsung's 10 nm processes' fin pitch is the exact same as that of Intel's 14 nm process: 42 nm)"

Vad dom beskriver på Wikipedia är att en gate som ex. hos Intel på 14 nm är i storleksordning av TSMC's eller Samsungs 10 nm.
Som jag tidigare skrev "fysikens lagar" som då definieringar storleken på en gate för att som ex. att gaten måste ha en viss storlek för att övervinna/hantera strökapacitans. Ju högre kapacitans, ju långsammare on/off (stig och falltid) som i sin tur ger att kretsen drar mer effekt.

För att kompensera den effekten och uppnå snabbare stig- och fall-tid så har gaten blivit större, fastän den totala storleken har minskat.

På Wikipedia så förefaller fokus ligga på gate storlek, vilket inte är detsamma som nod.
Det skrivs ju: without any relation to gate length, metal pitch or gate pitch

Vilket är helt naturligt, för skulle dom följt i skalning så skulle vi fortfarande suttit med kretsar på 1 MHz eller liknande.

Skrivet av medbor:

https://en.wikichip.org/wiki/technology_node

Finns inget som är så litet som 5/7/10nm och inget som stämmer med det du säger (längre)

Innan fin-fet och andra moderna saker stämde det dock jättebra, med det gick över för flera år sedan

Fritt saxat en bit av det relevanta:

Meaning lost[edit]
At the 45 nm process, Intel reached a gate length of 25 nm on a traditional planar transistor. At that node the gate length scaling effectively stalled; any further scaling to the gate length would produce less desirable results. Following the 32 nm process node, while other aspects of the transistor shrunk, the gate length was actually increased.
With the introduction of FinFET by Intel in their 22 nm process, the transistor density continued to increase all while the gate length remained more or less a constant. This is due to the properties of FinFET; for example the effective channel length is a function of the new fins (Weff = 2 * Hfin + Wfin). Due to how the transistor changed dramatically from how it used to be, the current naming scheme lost any meaning

Hmm... 25 nm stämmer inte, åtminstone inte om man ska tro Intel som skriver "The transistors in this work feature 1.0nm EOT high-k gate dielectrics with dual workfunction metal gate electrodes and 35nm gate lengths."
Källa (PDF): http://download.intel.com/pressroom/kits/advancedtech/pdfs/VL...

Men oavsett så borde ju då Intel ha kallat noden för just 35 nm.

Sen så sade jag inte, utan hänvisade till vad som skrevs i länken där förhållanden mellan olika byggelement beskrivs. Dom tar upp byggförhållanden gällande resistorer och kondensatorer som ex.

Att gaten inte minskar är rätt naturligt då aktiva element såsom en fet har inbyggd kapacitans, något som då påverkar byggstorleken på gaten. En mindre gate = långsammare krets.
Därav att man byggde gaten på höjden for att fortfarande kunna skala ner ytan och bibehålla och/eller öka hastigheten.
Trenden nu är att gå över till flera mindre gates och med det få till en större yta.

Något som även sägs i det du saxade: while other aspects of the transistor shrunk, the gate length was actually increased.

För FinFet så är ju def, Weff = 2 * Hfin + Wfin, liknande def. finns även för andra geometrier, grundmålet är att få en så stor yta på gaten så liten substrat yta som möjligt. Allt för att förbättra stig- och fall-tider som i sin tur gör kretsen energieffektivare. Och även snabbare.

Vi kan som ex. ta en länk från samma källa WikiChip som säger att TSMC's N7, N7P, N7+ node har minsta storlek av 6 nm, vilket då är Fin Width under rubriken Industry
Källa: https://en.wikichip.org/wiki/7_nm_lithography_process

Så nog finns det något som är så litet som 6 nm
Om man nu ska tro på WikiChip...
.

Rättat stavfel..
Visa signatur

Engineer who prefer thinking out of the box and isn't fishing likes, fishing likes is like fishing proudness for those without ;-)
If U don't like it, bite the dust :D
--
I can Explain it to you, but I can't Understand it for you!

Permalänk
Medlem
Skrivet av Bengt-Arne:

Vad dom beskriver på Wikipedia är att en gate som ex. hos Intel på 14 nm är i storleksordning av TSMC's eller Samsungs 10 nm.
Som jag tidigare skrev "fysikens lagar" som då definieringar storleken på en gate för att som ex. att gaten måste ha en viss storlek för att övervinna/hantera strökapacitans. Ju högre kapacitans, ju långsammare on/off (stig och falltid) som i sin tur ger att kretsen drar mer effekt.

För att kompensera den effekten och uppnå snabbare stig- och fall-tid så har gaten blivit större, fastän den totala storleken har minskat.

På Wikipedia så förefaller fokus ligga på gate storlek, vilket inte är detsamma som nod.
Det skrivs ju: without any relation to gate length, metal pitch or gate pitch

Vilket är helt naturligt, för skulle dom följt i skalning så skulle vi fortfarande suttit med kretsar på 1 MHz eller liknande.

Hmm... 25 nm stämmer inte, åtminstone inte om man ska tro Intel som skriver "The transistors in this work feature 1.0nm EOT high-k gate dielectrics with dual workfunction metal gate electrodes and 35nm gate lengths."
Källa (PDF): http://download.intel.com/pressroom/kits/advancedtech/pdfs/VL...

Men oavsett så borde ju då Intel ha kallat noden för just 35 nm.

Sen så sade jag inte, utan hänvisade till vad som skrevs i länken där förhållanden mellan olika byggelement beskrivs. Dom tar upp byggförhållanden gällande resistorer och kondensatorer som ex.

Att gaten inte minskar är rätt naturligt då aktiva element såsom en fet har inbyggd kapacitans, något som då påverkar byggstorleken på gaten. En mindre gate = långsammare krets.
Därav att man byggde gaten på höjden for att fortfarande kunna skala ner ytan och bibehålla och/eller öka hastigheten.
Trenden nu är att gå över till flera mindre gates och med det få till en större yta.

Något som även sägs i det du saxade: while other aspects of the transistor shrunk, the gate length was actually increased.

För FinFet så är ju def, Weff = 2 * Hfin + Wfin, liknande def. finns även för andra geometrier, grundmålet är atrt få en så stor yta på så liten yta möjligt. Allt för att förbättra stig- och fall-tider som i sin tur gör kretsen energieffektivare.

Vi kan som ex. ta en länk från samma källa WikiChip som säger att TSMC's N7, N7P, N7+ node har minsta storlek av 6 nm, vilket då är Fin Width under rubriken Industry
Källa: https://en.wikichip.org/wiki/7_nm_lithography_process

Så nog finns det något som är så litet som 6 nm
Om man nu ska tro på WikiChip...
.

Det är dock inte ’fin width’ som någonsin menats när man beskrivit noden 7nm, och det spelar ingen roll. 12nm från TSMC var ju mer som 16nm+ eller något. Siffrorna betyder inget längre, eller så ändrar de betydelse för varje ny ’nod’ och har alltså ingen roll i att jämföra saker. Transistorerna är extremt mycket större (olika beroende på vilken typ som mäts såklart).

Mycket mer intressant att titta på densitet per area och andra saker som faktiskt skalar mellan generationer och är jämförbara mellan olika tillverkare

Permalänk
Medlem

@Bengt-Arne: Vad i mitt påstående om"En gång i tiden" saknar verklighetsanknytning?
"Historically, the process node name referred to a number of different features of a transistor including the gate length as well as M1 half-pitch. most recently, due to various marketing and discrepancies among foundries, the number itself has lost the exact meaning it once held."
från https://en.wikichip.org/wiki/technology_node

Tycker det passar väldigt väl ihop med mitt påstående.

Permalänk
Medlem
Skrivet av nikwad:

@Bengt-Arne: Vad i mitt påstående om"En gång i tiden" saknar verklighetsanknytning?
"Historically, the process node name referred to a number of different features of a transistor including the gate length as well as M1 half-pitch. most recently, due to various marketing and discrepancies among foundries, the number itself has lost the exact meaning it once held."
från https://en.wikichip.org/wiki/technology_node

Tycker det passar väldigt väl ihop med mitt påstående.

Jag tror han missat poängen och bara vill argumentera för sakens skull. Det fanns en specifik betydelse med nodens siffra, men den parametern som den ursprungligen betydde har slutat minska. Det är precis så enkelt.

Densitet är det mest relevanta måttet nu, nodens nummer betyder väldigt lite

Permalänk
Medlem
Skrivet av Bengt-Arne:

Vi kan som ex. ta en länk från samma källa WikiChip som säger att TSMC's N7, N7P, N7+ node har minsta storlek av 6 nm, vilket då är Fin Width under rubriken Industry
Källa: https://en.wikichip.org/wiki/7_nm_lithography_process.

För att citera från just den länken:
"The term "7 nm" is simply a commercial name for a generation of a certain size and its technology, and does not represent any geometry of the transistor. "

Att process tekniken kallas "7nm" innebär inte att det finns en endaste sak involverad som är just 7nm stor.
För t.ex. "130nm" teknik så fanns det saker i kretsarna som var just 130nm stora. Så är det inte med senaste generationerna tillverkningsteknik.

Permalänk
Medlem

Det har varit många jämförelser där Intels 10nm skall motsvara TSMCs 7nm, men med tanke på alla Intels problem med just 10nm är det då verkligen så att Intels försök på 10nm hade motsvarat TSMCs 7nm men vi vet ju idag att dom backat med sin 10nm så vad gäller för en fungerande Intel 10nm vs TSMCs 7nm?

Visa signatur

Du som inte behärskar när du skall använda de och dem så finns en mycket enkel lösning. Skriv dom så blir det inte fel.
Första egna datorn -> ZX Spectrum 48k '82.
Linux Mint, Asus B550-F, Ryzen 5600X, LPX 64GB 3600, GT730

Permalänk
Medlem

Det är en aning svårt att lita på Samsung av alla tillverkare med tanke på deras involvering med kartell-bildning och artificiellt trissa upp priserna på RAM-kretsar och NAND-baserade kretsar (flash).
Sen är "3nm" inte förankrat i någon verklig motsvarighet, utan är sedermera ett trick för att i stort sett ljuga för kunder, ungefär som Samsung med deras "Quantum dot display", då det egentligen enligt Samsung själva är ett trademark för en serie av deras displayer/tv, (Då det icke är quantum dot).
Tillbaka till "3nm" dock, enligt en bild som ofta cirkuleras så fort fakta om krets-tillverkning och noder kommer på tal, så är den verkliga storleken på hittepå-3nm egentligen 21nm*48nm i en rektangulär formering. visst man kan påtala "nanometer storleken handlar om den minska sub-transistor-ytan", men det är inte vad tillverkare och nyhets-siter intalat oss i vad "noders storlek" betyder.

Visa signatur

John